技术中心
 
 
 
关键词
         
 
 
 

如何以10进位显示8位数的七段显示器?

<span class="highlight">七段</span>显示器在DE2可当成Verilog的控制台,做为16进位的输出结果。介绍使用环境:Quartus II 7.2 SP3 + DE2 (旋...

编程在4个七段LED数码管上显示1234

编程在4个<span class="highlight">七段</span>LED数码管上显示1234 START:MOV P2,#11100001BLCALL D2MS MOV P2,#11010010BLCALL D2MSMOV ...

单个七段LED数码管的接口连接方法

单个<span class="highlight">七段</span>LED数码管的接口连接方法 单个<span class="highlight">七段</span>LED数码管与单片机的连...

七段LED数码管结构

(a) 管脚图 (b) 共阴极 (c) 共阳级 <span class="highlight">七段</span>LED数码管的驱动电路 (a) BCD <span class="highl...
2011-05-05